downloadGroupGroupnoun_press release_995423_000000 copyGroupnoun_Feed_96767_000000Group 19noun_pictures_1817522_000000Member company iconResource item iconStore item iconGroup 19Group 19noun_Photo_2085192_000000 Copynoun_presentation_2096081_000000Group 19Group Copy 7noun_webinar_692730_000000Path
Skip to main content

2019 Edition  |   2021 Edition |   2023 Edition

Following SIA's closure of ITRS activities in 2015, the Heterogeneous Integration Roadmap activities continued -- sponsored by SEMI, the IEEE Electronics Packaging Society (EPS), IEEE Electron Devices Society (EDS), IEEE Photonics Society and the ASME EPPD Division.  These organizations are pledged to expand the roadmap collaboration to other IEEE Technical Societies and other organizations outside IEEE that share a common vision for the roadmap.


Background
Mission
Purpose
Committee
Global Advisory Council
Scope
Schedule

BACKGROUND

The ITRS Assembly and Packaging Working Group has had many years of history of collaboration with the IEEE Societies in holding workshops and work sessions at IEEE Societies sponsored conferences and events around the world.  The basis of this collaboration is the common shared interest in a Technology Roadmap for the IEEE Societies’ membership, conference attendees and participants in the ITRS Technical Working Group work sessions. IEEE Societies Conferences are high profile forums on the latest research and development in Packaging, Interconnect, Test and the materials, processes and equipment supporting this R&D. The Roadmap workshops of the ITRS had provided an opportunity for the participants to review the directions of these technologies and build a technology Roadmap looking 15 years into the future.

The collaboration in technical working groups (TWGs) that produced the ITRS Roadmap has continued with the establishment of the Heterogeneous Integration Focus Team in 2014. In 2015, a MOU was signed between the Heterogeneous Integration Focus Team and the IEEE EPS with the approval of the Semiconductor Industry Association (SIA) to ensure the collaboration was sustainable.

In the winter of 2015, SIA announced that it would bring the ITRS activities to a close with the publication of the 2015 Edition in the spring of 2016.

We believe that it is important to continue this Heterogeneous Integration Roadmap function for the profession, industry and the entire technical community. In the era of IoT, the Cloud, smart phones and intelligent automobiles, there is immense need for a pre-competitive technology roadmap providing a long term vision to the future and identifying difficult challenges and potential solutions. 

IEEE communities, including the IEEE Societies and Councils, are rich in creativity, innovation and knowledge across science, technology and arts associated with electronics. Bringing this mission under the auspices of the IEEE Technical Societies will fill this important need with the deep knowledge base from the Societies’ global membership and worldwide network. It would be a service to the global technical professionals and technical community consistent with the IEEE mission. The goal for this roadmap is to be open and transparent. IEEE EPS provides institutional sponsorship to ensure sustainability and quality.

The Heterogeneous Integration Roadmap activities are sponsored by IEEE Electronics Packaging Society (EPS), SEMI, IEEE Electron Devices Society (EDS), IEEE Photonics Society and the ASME EPPD Division with the intention of expanding the roadmap collaboration to other IEEE Technical Societies that share interest in the Heterogeneous Technology Roadmap as well as to organizations outside IEEE that share this common vision for the roadmap. A document with definition of the mission, purpose, structure and governance for this Heterogeneous Integration Roadmap program was prepared and approved.


top of page

MISSION STATEMENT

Heterogeneous Integration refers to the integration of separately manufactured components into a higher level assembly (SiP) that, in the aggregate, provides enhanced functionality and improved operating characteristics.

In this definition components should be taken to mean any unit whether individual die, MEMS device, passive component and assembled package or sub-system that are integrated into a single package. The operating characteristics should also be taken in its broadest meaning including characteristics such as system level performance and cost of ownership.

The mission of this Heterogeneous Integration Roadmap is to provide guidance to the profession, industry, academia and government to identify key technical challenges with sufficient lead time that they do not become roadblocks preventing the continued progress in electronics. That progress is essential to the future growth of the industry and the realization of the promise of continued positive impact on mankind. The approach is to identify the requirements for heterogeneous integration in the electronics industry through 2034, determine the difficult challenges that must be overcome to meet these requirements and, where possible, identify potential solutions.


top of page

PURPOSE OF INTERNATIONAL HETEROGENEOUS INTEGRATION ROADMAP

  • The Roadmap serves as a guideline for the global electronics industry of projected technology needs and opportunities for innovation.
  • The Roadmap is devised and intended for technology assessment only and is without regard to any commercial considerations pertaining to individual products or equipment.

Serving the Profession, Industry, Academia and Research Institutes, the Roadmap provides:

  • A forecast of industry requirements to maintain the pace of progress for the industry and user community  over the next 15 years, and the next 25 years for the heterogeneous integration of emerging devices and materials which require a longer research development horizon.
  • Identification of difficult challenges that must be addressed to meet these industry requirements.
  • Where possible the Roadmap will identify research requirements and potential technical solutions.


top of page

HETEROGENEOUS INTEGRATION ROADMAP COMMITTEE STRUCTURE AND GOVERNANCE

  • An International Roadmap Committee (IRC) has been established by the sponsoring organizations, under the oversight of the EPS Society.
  • The IRC will initially be a group of ~6 people with representation from EPS, EDS, Photonics, SEMI, ASME EPPD and other IEEE and non-IEEE collaborating organizations, government and academia.
  • The Chair of the IRC will be named by the EPS President.
  • The Co-Chair of the IRC will be chosen by vote from the IRC.
  • The IRC will meet at least quarterly and publish minutes of the meetings.
  • The IRC will determine the Technical Working Groups (TWGs) that will be established to develop chapters of the Roadmap, appoint the TWG Chairs and approve the mission and scope statements of each Roadmap chapter.
  • TWG chairs will be responsible for recruiting the appropriate volunteers to address the scope of their Roadmap chapters.
  • The Roadmap will participate in the same peer review and quality control procedures as other IEEE publications.
  • The schedule and location of meetings at Society Conferences will be determined by the IRC for:
    • In person meetings of the full Roadmap membership
    • On-line meetings for all TWG Chairs
  • TWG Chairs will schedule in person meetings and on-line meetings for their members working sessions and share their schedule with the IRC.
  • The IRC will determine the schedule for preparation and publication of the Roadmap.
  • The Current members of the International Roadmap Committee are: Bill Chen, Chair ; Bill Bottoms (IEEE EPS); Tom Salmon (SEMI), Subramanian Iyer (IEEE EDS), Amr Helmy (IEEE Photonics Society) and Ravi Mahajan (ASME EPPD Division). Gamal Refai-Ahmed is the ASME EPPD alternate.
  • An Executive Committee (EC) will be formed within the IRC to facilitate operation.
  • EPS will maintain the Roadmap web pages.


This Roadmap’s processes will be transparent and the work product will be published on the EPS Web site and will be available to the Profession, Industry, Academia and Research Institutes without charge.

top of page

Heterogeneous Integration Roadmap (HIR) Global Advisory Council

The HIR Global Advisory Council is established to provide the Heterogeneous Integration International Roadmap Committee (IRC) guidance in two important areas:

  • Advise HIR in defining and maintaining a long term vision of technology innovation required to support Industry progress over the next 15 years.
  • Advise HIR to ensure that its value proposition for stakeholders in industry, academia, research institutes and government is understood by and available to all.

Meetings will be scheduled semiannually, at minimum, as determined by the Council and the IRC. Additional meetings may be held as needed, to ensure the purpose is fulfilled.

The initial term of the council will be 3 years

  • Global Advisory Council members are nominated by the IRC and invited by the HIR Chair.
  • Current members of the Global Advisory Council include:
  • Ajit Manocha -  President and CEO of SEMI. Former CEO of GlobalFoundries and served as chair of SIA. Also served in executive roles at Philips/NXP & Spansion. 
  • Nicky Lu  - Founder and Chairman of Etron Technology in Taiwan. Served as chair of TSIA and WSC and is a member of the US National Academy of Engineering. 
  • Babak Sabi - Intel Corporation Corporate Vice President, General Manager, Assembly Test Technology Development.
  • Hubert Lakner -  Board of Directors Chairman, Fraunhofer Microelectronics Group and Founding Director of Fraunhofer Institute of Photonic Microsystems (IPMS) in Dresden. 

SCOPE

The IEEE EPS Heterogeneous Integration Roadmap will address the assembly & packaging, test and interconnect technologies required to meet industry needs over the next 15 years. The scope statement refers specifically to the 2019 edition of this Roadmap. It will be revised for each addition to define the changing scope of the Roadmap over time.

Packaging is the final manufacturing process transforming devices into functional products for the end user. Packaging must provide electrical and photonic connections for signal input and output, power input, and voltage control. It also provides for thermal dissipation and the physical protection required for reliability. The rise of  the Internet of Things (IoT), movement to the cloud of data  logic and applications, the slowing of Moore’s Law scaling for CMOS and the realization that transistors at the geometries to be used will wear out all place new demands on the industry.

Design concepts, packaging architectures, device types, materials, manufacturing processes and systems integration technologies are all changing rapidly. These innovations have resulted in development of several new technologies as well as expansion and acceleration of technologies introduced in prior years. Heterogeneous integration with wireless and mixed signal devices, bio-chips, power devices, optoelectronics, and MEMS in a single package is placing new requirements on the industry as these diverse components are introduced as elements for System-in-Package (SiP) architectures.

The scope or the Heterogeneous Integration Roadmap is identification of the difficult challenges and the potential solutions for meeting technical requirements for the next 15 years and 25 years for emerging research areas. The primary integration technology for the potential solutions will be complex, 3D System in Package (SiP) architectures.


top of page

 TECHNICAL WORKING GROUPS (TWGs)

HI for Market Applications Design
High Performance Computing and Data Center Co-Design 
IoT  Simulation
Medical, Health and Wearables  Cross Cutting topics
Automotive Materials & Emerging Research Materials
Aerospace & Defense Emerging Research Devices
Mobile Supply Chain
Heterogeneous Integration Components Security
Single Chip and Multi Chip (including substrates) Thermal Management
Integrated Photonics Test
Integrated Power Electronics Integration Processes
MEMS and Sensor Integration SiP and Module
RF and Analog Mixed Signal 3D & Interconnect
  WLP (fan in and fan out)

Please Read for more information on HIR TWGs

Please Read for more information on TWG Chapters

top of page

 

SCHEDULE OF HIR WORKSHOPS

2023 Symposium - SEMI Headquarters, Milpitas, CA

2022 Symposium - SEMI Headquarters, Milpitas, CA

Pan Pacific Microelectronics Symposium, Big Island, HI 2/10-13/2020

SEMICON West, San Francisco, CA 7/20-23/2020

2019 SCHEDULE OF HIR WORKSHOPS

1.    Heterogeneous Integration Roadmap Symposium Milpitas, CA 2/21/2019
2.    SEMICON China, Shanghai, China CSTIC 3/18-19/2019
3.    EuroSimE Hannover, Germany  3/24-27/2019
4.    ICEP Niigata 4/17-20/2019
5.    ECIO Ghent, Belgium 4/24-26/2019
6.    Advanced Semiconductor Manufacturing Conference 5/6-9/195.    
7.    ECTC & ITherm Las Vegas, NV 5/28-31/2019
8.    VLSI Symposium 6/9/2019
9.    NordPac Denmark 6/11-13/2019
10.  Palo Alto Meeting 7/7/2019
11.  SEMICON West San Francisco, CA 7/9-11/2019
12.  ICEPT Hong Kong 8/11-15/2019
13.  Electronics Packaging Symposium Niskayuna, NY 9/5-6/2019
14.  HIR Workshop with EPS Japan, JIEP & SEMI Japan  Tokyo, Japan TBD
15.  SEMICON Taiwan 9/18-20/2019
16.  IMAPS Boston, MA 10/1-3/2019
17.  INTERPACK 2019 Anaheim, CA 10/7-9/2019
18.  IMPACT Taiwan  10/24-26/2019
19.  SEMICON Europa  Germany 11/12-15/2019
20.  ICSJ  Kyoto, Japan 11/18-20/2019
21.  EPTC Singapore, 12/4-6/2019
22.  IEDM, CA 12/9-11/2019

2018 SCHEDULE OF HIR WORKSHOPS

2017 SCHEDULE OF HIR WORKSHOPS

2016 SCHEDULE OF HIR WORKSHOPS

A number of presentations on Roadmap activities were held in addition to the workshops. 

1.    ConFab Las Vegas, NV USA  06/14
2.    3D PEIM North Carolina, USA, 06/14
3.    IEMT-EMAP Penang, Malaysia  09/20- 22

Questions and Inquiries?

Become a contributing member of the Roadmap