downloadGroupGroupnoun_press release_995423_000000 copyGroupnoun_Feed_96767_000000Group 19noun_pictures_1817522_000000Member company iconResource item iconStore item iconGroup 19Group 19noun_Photo_2085192_000000 Copynoun_presentation_2096081_000000Group 19Group Copy 7noun_webinar_692730_000000Path
Skip to main content

Sponsors' Information

adeia

Adeia invents, develops and licenses fundamental innovations that shape the way millions of people explore and experience entertainment and enhance billions of devices in an increasingly connected world. From TVs to smartphones, in almost any place, and across all types of entertainment experiences, from Pay-TV to OTT, managing content and connections in a way that is smart, immersive and personal is precisely what their innovations do.

Website - www.adeia.com

 

ASE Global

 

ASE (Advanced Semiconductor Engineering, Inc), a member of ASE Technology Holdings (NYSE:ASX) is one of the world's largest providers of outsourced semiconductor manufacturing services in assembly and test (OSAT), and, a leading provider of electronic manufacturing services (EMS) through its sister company, USI.  Addressing global proliferation of sophisticated electronics geared towards improving lifestyle and efficiency, ASE is strategically integrating synergies between its well established OSAT and EMS business units. ASE is at the forefront of a new class of EMS that delivers modularized, miniaturized ICs with system-level precision to enable high-performance and highly integrated devices. As a result, ASE is truly bridging the gap between silicon and system makers. From traditional semiconductor players to players innovating applications within IoT, wearables, automotive, AR/VR, connectivity and many more, success within an increasingly dynamic market hinges on creating and sustaining highly productive manufacturing partnerships. ASE has proven ability to collaborate closely with customers, to understand markets and create value propositions, and to deliver advanced packaging and SiP solutions to meet growth momentum across a broad range of end markets. Manufacturing facilities are strategically located within key electronics manufacturing hubs, including Taiwan, China, Korea, Japan, Malaysia, and Singapore. Serving customers across the global electronics ecosystem, regional sales offices are located in Sunnyvale (USA), Brussels (Europe), Hsinchu (Taiwan), Shanghai/Beijing (China), Shenzhen (China), Yokohama (Japan), Gyeonggi-do (Korea) and Singapore.

Website - www.aseglobal.com

 

Beneq

Beneq is the home of atomic layer deposition. In 1984, we established the world’s first industrial production using ALD. Today, we lead the market with products for R&D (TFS200, TFS500, R2), semiconductor device fabrication (Transform®, Transform® 300, and ProdigyTM), 3D and batch production (P400A, P800, P1500), ultra-fast spatial ALD (C2R), and roll-to-roll ALD (Genesis). Beneq’s unique Development Service simplifies customer adoption and proof-of-concept for new ALD processes, while our Coating Service cuts down time to market by outsourcing state of the art ALD production. Our team of engineers and experts is dedicated to making ALD tools accessible for researchers.

Website - www.beneq.com

 

Caeleste

Caeleste is your supplier of custom designed high-end, high performance, image sensors. Offering innovative solutions for image sensor challenges in the fields of medical and scientific imaging, space applications and machine vision via custom design of Monolithic or Hybrid sensors when required. Our experience extends from X-ray, visible light imaging, to Infrared and including Electron-Beam imaging. Our IP portfolio includes low noise pixel designs, hybrid sensors and ranging technics for 3D imaging.

Website - caeleste.be

 

Comet Yxlon

Led by experience.

Driven by curiosity. Good solutions start with good questions. At Comet Yxlon, our curiosity and passion for technology are the drivers behind our collaborative approach in product development. Based on customer feedback, we develop high-end X-ray and CT system solutions that enable non-destructive testing in the semiconductor/electronics, automotive and aerospace industries – from R&D labs to production settings.

Website - yxlon.comet.tech

 

Evatec

Evatec are a global leader in thin film technology, they design and manufacture production systems for industry, and their customized engineering solutions support the equipment needed at the worlds' leading R&D institutions. They offer complete process solutions in our core markets of Advanced Packaging, Power Devices, MEMS, Wireless Technologies, Optoelectronics and Photonics.

Website - evatecnet.com

 

EV Group (EVG)

EV Group (EVG) is a leading supplier of equipment and process solutions for the manufacture of semiconductors, microelectromechanical systems (MEMS), compound semiconductors, power devices and nanotechnology devices. Key products include wafer bonding, thin-wafer processing, lithography/nanoimprint lithography (NIL) and metrology equipment, as well as photoresist coaters, cleaners and inspection systems. Founded in 1980, EV Group services and supports an elaborate network of global customers and partners all over the world.

Website - www.evgroup.com

 

Infineon Technologies

How do we contribute to a better future? With our entrepreneurial spirit through creativity and commitment, we create value for our customers, employees and investors. We understand how semiconductors increase the performance of modern technology and enable solutions that will shape our lives today and tomorrow. Developed with passion and manufactured with precision, every single product proves our will to succeed. This is what makes us a reliable partner and helps our customers to become even more successful. By accepting responsibility for society, Infineon combines entrepreneurial success with responsible behavior. Efficient use of energy, environmentally-friendly mobility and security in a connected world – we solve some of the most critical challenges that our society faces while taking a conscientious approach to the use of natural resources. With a unique team, employees from more than 90 countries make Infineon a successful international company – with their skills, their enthusiasm and the courage to challenge the status quo and open up new horizons. Since the semiconductor was invented, we have helped shape the future – every single day.

Website - www.infineon.com

 

KLA

KLA Corporation is an American capital equipment company based in Milpitas, California. It supplies process control and yield management systems for the semiconductor industry and other related nanoelectronics industries. The company's products and services are intended for all phases of wafer, reticle, integrated circuit (IC) and packaging production, from research and development to final volume manufacturing. KLA make an impact by creating solutions that drive progress and transform industries and collaboration is the key to our success. They provide leading-edge technology and devices using advanced inspection tools, metrology systems, and computational analytics.

Website - https://www.kla.com/

 

Okmetic

Okmetic is the seventh largest silicon wafer manufacturer in the world. We supply advanced, high value-added, silicon wafers for the manufacture of microelectromechanical systems (MEMS), sensors as well as radio frequency and power devices. Our technology is utilized e.g. for making electronics more intelligent and energy efficient. Products made by our customers can be found in a range of everyday applications, including smartphones, portable devices, automotive electronics, industrial process control and medical applications, the Internet of Things (IoT), as well as different solutions related to power supply and efficiency improvement. We have a global customer base and service network based in Finland, German, the United States, Japan and China. In addition, we have sales agents in China, Korea, Malaysia, Singapore, Taiwan and the United States. Our headquarters is located in Finland, where the majority of our silicon wafers are manufactured. We are part of the Finnish MEMS cluster and thus involved in developing groundbreaking microelectromechanical systems. MEMS sensors are used in a variety of applications, such as cars, controlling equipment, managing robots, etc.

Website - www.okmetic.com

 

Siconnex

Based in Europe since it was founded in 2002, Siconnex is a global equipment manufacturer of wet chemistry equipment for the semiconductor sector and related industries. We supply BATCHSPRAY® equipment for the surface preparation of various end products such as MEMS, power semiconductors, analogue/mixed signal semiconductors, III-V semiconductors and many more besides. Our equipment is market-leading thanks to its safety, automation, small equipment footprint, high throughput and low consumption of economic resources. Our innovative BATCHSPRAY® technology is based on a closed system, in which chemical-based cleaning and etching processes are performed highly efficiently. Various chemical processes, including rinsing and drying, are performed fully automatically in a process chamber. The process chamber can be designed for one carrier with 25 wafers or two carriers with 50 wafers to suit your needs. Thanks to our autoload equipment, up to four chambers can be loaded simultaneously to enable throughputs of up to 400 wafers per hour.

Website - www.siconnex.com

 

SÜSS MicroTec

SUSS MicroTec is a leading supplier of equipment and process solutions for microstructuring in the semiconductor industry and related markets. In close cooperation with research institutes and industry partners SUSS MicroTec contributes to the advancement of next-generation technologies such as 3D Integration and Nano Imprint Lithography as well as key processes for MEMS and LED manufacturing. With a global infrastructure for applications and service SUSS MicroTec supports more than 8,000 installed systems worldwide. SUSS MicroTec is headquartered in Garching near Munich, Germany. 

Website - www.suss.com/de

 

Teledyne MEMS

 

Teledyne offers unmatched MEMS capability, from design to prototyping on 150mm wafers to volume 200mm production. Teledyne DALSA and Teledyne Micralyne combine to collaborate with customers offering decades of experience across a vast process portfolio. Our deep expertise in materials and processes, combined with state-of-the-art facilities and collaborative R&D resources drive continuous improvement, learning, and innovation. Teledyne MEMS delivers a scalable suite of MEMS and microfabrication capabilities that allow customers to ramp up to meet their business development goals.

Website - www.teledyneimaging.com/en/mems/

 

Back to Home