Packaging HighlightsCadence and Arm launch ADAS chiplet development platform (Embedded; Mar 18, 2024) Driving Into the Future: The Next Phase in Automotive Compute Package Adoption (3D InCites; Mar 18, 2024) Silicon Box to build €3.2 billion Italian chiplet factory (eeNews Analog; Mar 11, 2024) Chiplets are the latest buzz, but many challenges lie ahead (Embedded; Mar 10, 2024) Revolutionizing High-Performance Computing: ODSA's Open Architecture for Chiplet Integration (BNN; Mar 5, 2024) How does the open domain-specific architecture relate to chiplets and generative AI? (EE World Online; Mar 5, 2024) Tenstorrent Licenses Chiplet Designs to Japanese Institute (EE Times; Mar 1, 2024) India approves three chip plants with over $15 billion in investments to realize semiconductor ambitions (CNBC; Mar 1, 2024) Commercial Chiplet Ecosystem May Be A Decade Away (Semiconductor Engineering; Feb 29, 2024) CHIPS for America Announces Funding Opportunity to Expand U.S. Semiconductor Packaging (NIST; Feb 28, 2024) U.S. Department of Energy Announces $2.25 Million American-Made Silicon Carbide (SiC) Packaging Prize (U.S. Department of Energy Office of Electricity; Feb 26, 2024) ISSCC: 200A chiplet dc-dc converter for 1kW processors (Electronics Weekly; Feb 26, 2024) Heterogenous integration packs big innovation into small medical devices (Medical Design & Outsourcing; Feb 26, 2024) IFTLE 584: SK hynix HBM Is Coming to the US; Intel Pushes Back Ohio Chip Fab (3D InCites; Feb 21, 2024) Why Chiplets Are So Critical In Automotive (Semiconductor Engineering; Feb 20, 2024) TSMC unveils new packaging platform for HPC, AI chips (The ELEC; Feb 20, 2024) Arm CSA and AMBA release provide boost for chiplet ecosystem (Embedded, Feb 16, 2024) The next frontier in semiconductor innovation: Chiplets and the rise of 3D-ICs (Express Computer; Feb 12, 2024) IFTLE 583: DoD funding for U.S. Based Substrate Manufacturing (3D InCites; Feb 8, 2024) Chiplet Security—ESD Alliance and Silicon Assurance Host Industry Panel Discussion Webinar March 14 (3D InCites; Feb 7, 2024) Nvidia to use Intel for packaging services – report (eeNews Europe; Feb 5, 2024) Eliyan Breaks Chiplet Memory Wall With Standard Packaging (EE Times; Feb 5, 2024) SK Hynix to build advanced plant in Indiana in boost to US chip self-sufficiency - FT (Reuters; Jan 31, 2024) Boom predicted for chiplet market (eeNews Europe; Jan 29, 2024) NHanced Project Puts U.S. on Advanced-Packaging Map (EE Times; Jan 26, 2024) Demand for AI-Optimized Chipsets to Spur Requirements for Hybrid Bonding (Semiconductor Digest; Jan 22, 2024) Chiplet Summit 2024 Preview (SemiWiki; Jan 22, 2024) Foxconn, HCL Group team up on chip-packaging and testing factory in India (Tech Register; Jan 17, 2024) Many More Hurdles In Heterogeneous Integration (Semiconductor Engineering; Jan 18, 2024) Foxconn setting up chip packaging and testing venture with India’s HCL (TechCrunch; Jan 17, 2024) Chiplet Makers Expect to Disrupt Incumbents (EE Times; Jan 16, 2024) Chiplet Heterogeneity And Advanced Scheduling With Pipelining (Semiconductor Engineering; Jan 12, 2024) Global Unichip has successfully taped out key technology for chiplets on a 3nm process at TSMC (eeNews Europe; Jan 11, 2024) Glass Substrates Gain Foothold In Advanced Packages (Semiconductor Engineering; Jan 9 2024) Chiplets: 10 Breakthrough Technologies 2024 (MIT Technology Review; Jan 8, 2023) China researchers report 256 core, chiplet-based processor (eeNews Analog; Jan 8, 2023) China planning 1,600-core chips that use an entire wafer — similar to American company Cerebras 'wafer-scale' designs (Tom's Hardware; Jan 4, 2024) 3 trends for 2024: AI drives more edge intelligence, RISC-V, & chiplets (Embedded.com; Jan 2, 2024) Samsung, SK Hynix join forces with foreign rivals to win AI chip war(The Korea Economic Daily; Dec 31, 2023) Major Japanese push for automotive chiplets for 2028 (eeNews Europe; Dec 28, 2023) Closing The Test And Metrology Gap In 3D-IC Packages (Semiconductor Engineering; Dec 12, 2023) New AMD Patent Describes Potential Chiplet-Based GPU Design (ExtremeTech; Dec. 11, 2023) Homegrown Sahasra electronics to set up a semiconductor packaging unit (ET Telecom; Dec 11, 2023) AMD thinks it can solve the power/heat problem with chiplets and code (The Register; Dec 8, 2023) AMD’s Next GPU Is a 3D-Integrated Superchip (IEEE Spectrum; Dec 6, 2023) AMD's new chiplet GPU patent could finally do for graphics cards what Ryzen did for its CPUs (PC Gamer; Dec 4, 2023) Nascent Chiplet Tech Gaining Attention In Defense And Commercial Industries (Semiconductor Engineering; Nov 30, 2023) Making Heterogeneous Integration More Predictable (Semiconductor Engineering; Nov 29, 2023) A Fast And Unified Toolchain For Rapid Design Space Exploration Of Chiplet Architectures (Semiconductor Engineering; Nov 27, 2023) ASIC platform targets automotive chiplets (eeNews Automotive; Nov 23, 2023) DARPA eyes creation of next-generation semiconductor manufacturing hub (C4ISRNET; Nov 22, 2023) Japan's Resonac to open chip packaging R&D centre in US (Reuters; Nov 21, 2023) A New Front Is Opening Up in the US-China Conflict Over Chips (Bloomberg; Nov 21, 2023) CHIPS for America Releases Vision for Approximately $3 Billion National Advanced Packaging Manufacturing Program (National Institute of Standards and Technology; Nov 20, 2023) International Semiconductor Alliance launches for European chip packaging (eeNews Europe; Nov 20, 2023) Extending network-on-chip (NoC) technology to chiplets (EDN; Nov 15, 2023) Renesas moves to chiplets for automotive processors (Electronics Weekly; Nov 9, 2023) 3D Heterogenous Integration: Design And Verification Challenges (Semiconductor Engineering; Nov 8, 2023) US Navy Funds Mercury’s Photonic Chiplet Development (Photonics; Nov 7, 2023) Data Leakage In Heterogeneous Systems (Semiconductor Engineering; Nov 2, 2023) AMD Says It Could Bring Chiplets to Thinner Ryzen Laptops (Tom's Hardware; Oct 28, 2023) Making Connections In 3D Heterogeneous Integration (Semiconductor Engineering; Oct 26, 2023) How the Worlds of Chiplets and Packaging Intertwine (EE Times Asia; Oct 24, 2023) How chiplets and tiles are transforming semiconductors (XDA; Oct 22, 2023) Why Using Commercial Chiplets Is So Difficult (Semiconductor Engineering, Oct 18, 2023) Open Compute Project expands chiplet and Ethernet efforts for data centers, AI (SDxCentral; Oct 17, 2023) Intel Heats Up and Expands its Agilex FPGA family (EE Journal; Oct 16, 2023) IMAPS Keynotes Focus on Heterogeneous Integration for HPC, AI, and EVs (3D InCites; Oct 12, 2023) Chiplets diary: JEDEC’s tie-up with OCP bears first fruit (EDN; Oct 12, 2023) TSMC looks to standardise chiplet protocols in ‘world changing’ move (eeNews Europe; Oct 9, 2023) Tenstorrent selects Samsung Foundry to manufacture latest AI chiplet (New Electronics; Oct 8, 2023) Tenstorrent to Use Samsung's SF4X for Quasar Low-Cost Chiplet (AnandTech; Oct. 3, 2023) Tenstorrent chooses Samsung to make next AI chiplets (eeNews Europe; Oct 2, 2023) Everyone’s A System Designer With Heterogeneous Integration (Semiconductor Engineering; Oct 2, 2023) IFTLE 570: China Restricts Exports of Gallium and Germanium (3D InCites; Oct 2, 2023) Ink-Borne ‘Chiplets’ Could Lead to Printable Displays, Electronics and More (Printed Electronics Now; Sept 29, 2023) From Intel’s Foveros to AMD’s chiplets, why the industry is playing lego with chips (Overclocking.com; Sept 29, 2023) Is This the Ultimate Chiplet Interconnect Technology? (Electronic Engineering Journal; Sept 28, 2023) How the Worlds of Chiplets and Packaging Intertwine (EE Times; Sept 26, 2023) Intel’s next-generation CPUs hide chiplets inside (EDN; Sept 26, 2023) Building Better Bridges In Advanced Packaging (Semiconductor Engineering; Sept 21, 2023) Why Chiplets Don’t Work For All Designs (Semiconductor Engineering; Sept 20, 2023) Intel unveils first glass substrates for next-generation advanced packaging (Geeky Gadgets; Sept 19, 2023) Nvidia's Next-Gen Blackwell GPUs Rumored to Use Multi-Chiplet Design (Tom's Hardware; Sept 18, 2023) I Got an Early Look at Intel's Glass Packaging Tech for Faster Chips (CNET; Sept 18, 2023) IFTLE 569: IMAPS CHIPcon – Samsung’s Advanced Packaging (3D InCites; Sept 14, 2023) Korea’s SKC moves in on US chiplet infrastructure (eeNews Europe; Sept 11, 2023) SKC to Make Investment in Chipletz in US for Semiconductor Packaging (Business Korea; Sept 11, 2023) IFTLE 568: Government Agency Roles in Onshoring Microelectronics (3D InCites; Sept 6, 2023) A sneak peek at chiplet standards (EDN; Sept 4, 2023) IP partnerships stir the world of FPGA chiplets (EDN; Aug 29, 2023) S. Korean ministry signs MoU with Samsung, SK hynix on chip packaging (The Korea Times; Aug 29, 2023) IFTLE 567: IMAPS Onshoring Conference Reports U.S. OSAT Activities (3D InCites; Aug 29, 2023) Chiplet-base generative AI platform raises LLM performance (eeNews Europe; Aug 28, 2023) Preparing For Commercial Chiplets (Semiconductor Engineering; Aug 24, 2023) Intel is embracing chiplet design to uphold Moore’s Law, says VP of design engineering (The Indian Express; Aug 23, 2023) Intel’s Chiplet Strategy Accelerates FPGA Development (Forbes; Aug 21, 2023) Who Will Regulate Data Exchanges In Chiplets? (Semiconductor Engineering; Aug 17, 2023) IFTLE 566: NGMM Teams Chosen; TSMC Delays US Fab Opening; Japan’s Rapidus; Lewis to Lead NSTC (3D InCites; Aug 14, 2023) Meetkai creates a digital twin of sprawling $2B Silicon Box chip packaging factory (Venture Beat; Aug 14, 2023) UCIe 1.1 Brings Chip Level Connectivity Out Of The Box (Forbes; Aug 11, 2023) UCIe Sees Automotive Chiplet Group With Latest Specification (eeNews Automotive; Aug 9, 2023) A Search Framework That Optimizes Hybrid-Device IMC Architectures For DNNs, Using Chiplets (Semiconductor Engineering; Aug 8, 2023) South Korea initiates major R&D project to boost chips packaging competitiveness (DigiTimes Asia; Aug 4, 2023) China’s natural science fund supports new ‘chiplet’ tech research to advance semiconductor self-sufficiency amid threat of fresh US sanctions (South China Morning Post; Aug 3, 2023) CHIPCon 2023: Demystifying the Chiplet Ecosystem (3D InCites; Aug 2, 2023) Samsung Elec to Provide HBM3, Packaging Service to Nvidia (The Korea Economic Daily; Aug 1, 2023) IFTLE 564: IMAPS Reshoring Conference Part 1: Focus on Chiplets (3D InCites; Jul 31, 2023) FMD and Intel to Accelerate Research on 3D Heterogeneous Integration (eeNews Embedded; Jul 31, 2023) Dual Use PHY for Chiplet and Chip Scale Packaging (eeNews Europe; Jul 27, 2023) Are You Ready for the Chiplet Age? (EE Journal; Jul 27, 2023) Smarter Systems Through Heterogeneous Integration: Highlights From 3D & Systems Summit (Semiconductor Engineering; Jul 25, 2023) A Methodology for Turning an SoC Into Chiplets (eeNews Europe; Jul 25, 2023) IFTLE 563: Is CoWoS Capacity Causing a GPU Shortage? (3D InCites; Jul 24, 2023) Co-Packaged Optics market to have 46% CAGR 2022-33 (Electronics Weekly; Jul 17, 2023) Chip wars: How ‘chiplets’ are emerging as a core part of China’s tech strategy (Reuters; Jul 13, 2023) Challenges Of Heterogeneous Integration (Semiconductor Engineering; Jul 13, 2023) IFTLE 562: Die to Wafer Hybrid Bonding from Adeia (3D InCites; Jul 10, 2023) Massive Chiplet-Based FPGA Designed to Make More Chips (Electronic Design; Jul 7, 2023) Heterogeneous Integration As A Path Towards Sustainable Computing, Using Chiplets (Semiconductor Engineering; Jul 6, 2023) A Focus on Chiplet Verification (3D InCites; Jul 5, 2023) SEMI 3D & Systems Summit 2023 Opens With Heterogeneous Integration for Smarter Systems in Focus (iConnect; Jun 26, 2023) India clears $2.7 billion Micron chip testing plant ahead of Modi's U.S. visit (Reuters; Jun 20, 2023) Chiplets advancing one design breakthrough at a time (EDN; Jun 13, 2023) GF, Lockheed Martin Pair Up to Improve National Security (EE Times; Jun 12, 2023) Siemens EDA, SPIL team on fanout chiplets (eeNews Europe; Jun 10, 2023) The Alphabet Soup of 3D Packaging (3D InCites; Jun 7, 2023) IFTLE 558: Showa Denko becomes Resonac, Joins Japan’s “Joint 2” Consortium (3D InCites; Jun 5, 2023) Are Chiplets Enough to Save Moore’s Law? (EE Times; Jun 2, 2023) Purchase the Global Semiconductor Packaging Materials Outlook 2023 to 2027 |