downloadGroupGroupnoun_press release_995423_000000 copyGroupnoun_Feed_96767_000000Group 19noun_pictures_1817522_000000Member company iconResource item iconStore item iconGroup 19Group 19noun_Photo_2085192_000000 Copynoun_presentation_2096081_000000Group 19Group Copy 7noun_webinar_692730_000000Path
Skip to main content
November 30, 2021

Chillers: A Cooling Product But Temperatures Still Rising

In what has been an incredible ride over the past five years for everyone in the semiconductor supply chain, suppliers of chillers have shined as standout performers. These cooling systems that are stuck in the sub-fab space under the clean rooms get little attention, yet revenues have more than doubled in the past five years, clocking a compound annual growth rate of 22% to reach $635 million in 2021, way above industry average growth of 13.3%.

Main driver of this exceptional growth

VLSI logoThe rise in the number and complexity of dry etch processing steps in the manufacture of memory and logic devices has been key to this impressive growth. Chillers and heat exchangers have done particularly well in addressing the challenge of keeping wafers within a narrower temperature range during processing. While this factor alone reflects an incremental improvement in chiller technology, it doesn’t explain why the segment has performed so well.

The main catalyst for the exceptional growth has been the increase in radio frequency (RF) power delivered into the etch process chamber. This additional power generates more heat, which needs to be dissipated and controlled, and this is where chillers have really come into their own. The solution requires more chillers, and more chillers with higher specifications – a combination has driven the spectacular growth.
 

VLSI Research chart 1


Leading suppliers of chilling technology for semi manufacture

The top chiller supplier by revenue, U.S.-based Advanced Thermal Sciences (ATS), has benefited from the dominance of U.S. OEMs in the dry etch market. Shinwa Controls in Japan is in second place and Korea-based Unisem and GST are in the third and fourth spots, respectively.  

One of the biggest challenges for all chilling equipment suppliers – apart from meeting demand – is reducing the huge amounts of energy these chillers consume. The development of more compact and efficient chillers will be a key differentiator in the future.

Applications that depend on chiller and heat exchanger technology

Chillers and heat exchangers for dry etch applications account for 61% of total revenues. However, they can be found in other processes too. For example, 19% are used for deposition and 20% for processes such as ion implant, CMP and wet processing.
 

VLSI Research chart 2


Despite the staggering growth performance of the chillers and heat exchangers segment over the last five years, we expect the growth rate to moderate significantly by 2026 as the rate of increase in vacuum processing steps returns to normal levels. This will happen because:

  1. The initial ramp-up of 3D NAND production capacity is complete and the sector is now generally growing in line with the memory production capacity as a whole.
  2. The introduction of extreme ultraviolet (EUV) lithography is tapering demand for vacuum processing equipment over the short to medium term.

Future growth trend for chillers and heat exchangers is forecast to be in line with the critical subsystems industry average at approximately 3% CAGR up to 2026.

For more information about critical subsystems and VLSI Research, please visit www.vlsiresearch.com/public/csubs.

John West is managing director at VLSI Research Europe.