downloadGroupGroupnoun_press release_995423_000000 copyGroupnoun_Feed_96767_000000Group 19noun_pictures_1817522_000000Member company iconResource item iconStore item iconGroup 19Group 19noun_Photo_2085192_000000 Copynoun_presentation_2096081_000000Group 19Group Copy 7noun_webinar_692730_000000Path
Skip to main content
January 10, 2022

SEMICON Japan Leaders: Industrywide Ecosystem Synergy Key to Advancing Digital Transformation

The SEMICON Sprint to 2022 continued at the recent SEMICON Japan 2021 Hybrid expo with leaders from the world’s largest chipmakers sounding an urgent and unified call to action: For the semiconductor industry to flourish, all chip ecosystems must embrace closer ties, greater openness, and more flexibility to co-innovate solutions in today’s rapidly unfolding global digital transformation.

Keynote speakers elaborated on this theme:

  • “We’d like to have more synergies and collaboration with our materials, equipment, and software suppliers to achieve faultless manufacturing,” said Chris Chern, Director of TSMC’s 3DIC RD Center in Japan. “All this cooperation with our operations is how we will achieve success together.”
  • SEMICON Japan Hybrid logo“The semiconductor industry has a bright future and we’re firing on all cylinders,” said Peng Bai, General Manager, Global Sourcing for Equipment and Materials and Corporate Vice President, Manufacturing, Supply Chain and Operations, at Intel. “Our execution will not be successful unless we and our suppliers redouble efforts more than ever to drive faster innovation, create world-changing technology and manufacture at scale to meet global demand.”
  • “The current way of doing things cannot be extended,” said Prabu Raja, Senior Vice President, Semiconductor Products Group, at Applied Materials. “A new industry playbook is needed enabled by new materials, new architectures, new structures for 3D devices, new ways to shrink, and new advanced packaging. We are working more broadly across the ecosystem to reinforce a culture of inclusion through greater transparency and clearer targets.”

Optimism Prevails in Navigating Industry’s Headwinds and Tailwinds

Like their counterparts at SEMICON West and SEMICON Europa, industry leaders exuded optimism that projections of the semiconductor industry doubling to $1 trillion in a decade will materialize. And there’s good reason for their buoyancy: Global recognition that chips are central to digitizing the world and powering electronics across all industries is growing as more regions see the rising strategic importance of chip to their economic growth and national security.  
 

Japan Prime Minister

Japan Prime Minister Fumio Kishida presents.


That confidence extended to the industry’s ability to leverage its collective strength to form more open ecosystems in order to overcome today’s most serious threats, such as meeting rising demand for advanced chips, making their operations more environmentally sustainable, and managing exploding data growth fueled by leading-edge technologies such as 5G, artificial intelligence (AI), machine learning, deep learning, and edge, cloud and quantum computing.

Japan Prime Minister Fumio Kishida opened SEMICON Japan Expo in announcing by  video that the domestic public and private sectors will together invest 1.4 trillion yen (US$12.2 billion) to strengthen the country’s semiconductor industry – which produces more than a third of the equipment and materials for global semiconductor manufacturing. “It's important for the country's economic security to strengthen its capabilities to develop and manufacture semiconductors,” he said.

TSMC Advances Advanced Packaging Amidst Growing Complexities

With the inexorable increases in chip packaging complexity and substrate fabrication, TSMC has coupled new integration approaches with existing system-on-a-chip (SoC) practices to extend Moore’s Law, Chern said. TSMC’s new 3DFabric™ uses multi-dimensional integration technologies that dramatically reduce latency, making it easier for semiconductor manufacturers to integrate various chip configurations from different companies, enabling the chipmakers to customize and scale more multi-functional chips.
 

Chris Chern


TSMC’s 3DFabric Intelligent Precision Manufacturing integrates metrology, sensors, AIoT, machine learning, and process precision control to detect and resolve issues with production, equipment, and materials, while improving tracking, total traceability for yield management, and prediction throughout the production process.

The symbiotic relationship between TSMC’s R&D/JRCP organization in Taiwan and its 3D-IC R&D Center in Japan have been instrumental in developing such innovations and enabling what TSMC hopes will be “a faultless manufacturing environment,” Chern said. First, the Taiwan organization assimilates AI and machine learning algorithms to model and design substrate free of signal, transmission, or power issues. Then, after suppliers show they can meet new designs, the 3D-IC R&D Center in Japan analyzes the data to validate and optimize the substrate, die, design, and processes.

Intel’s Push to Create World-Changing Technology Together

Like other industry leaders, Intel is “rapidly expanding our manufacturing capacity” in multiple countries worldwide, “requiring help from many of you our suppliers, as we build new fabs and factories needing more equipment and materials,” said Bai. “Intel will work with you to accomplish what we have to do with better planning and more transparent sharing of data and communication with each other.”
 

Peng Bai

 

Pointing to Intel’s IDM 2.0 strategy, Bai said the semiconductor chip manufacturer will complement its expanding network of factories by relying more on third-party foundries and its own foundry services. The new strategy aims to “regain technology leadership” by developing “world-changing technology and at-scale manufacturing.” A chief goal, he said, is to leverage Moore’s Law principles, Intel’s x86 and XPU architectures, and “open platforms to deliver open and secure software and hardware platforms that drive industry-shaping standards.”

Bai highlighted Intel’s updated and comprehensive roadmap and its new cutting-edge technologies that include:

  • RibbonFET, a gate all around transistor architecture
  • PowerVia, a first-of-its-kind, backside power delivery network
  • Embedded Multi-die Interconnect Bridge (EMIB) and Foveros, two new packaging technologies that together leverage high-density interconnects to enable high bandwidth at low power
  • Intel 10- and 7-nanometer chip technologies newly branded as Tiger Lake, Alder Lake, Ice Lake, and Sapphire Rapids.

“As you can see, Moore’s Law is alive and well,” he said.

Applied Material’s New Playbook to Improve Time-to-Market for Chip Technologies

“Time-to-market is the biggest economic value driver for all companies in our ecosystem, and the industry needs a new playbook,” Raja said. Toward that end, Applied Materials developed its new PPACt™ playbook (power, performance, area, cost and time-to-market) to cost-effectively speed delivery of higher-performing chip technologies amid burgeoning technical challenges in materials engineering, advanced packaging, and system integration. The playbook features a combination of novel materials, architectures, structures for 3D devices, sensors, process and metrology tools, as well as AI and machine learning analytics to accelerate innovation from R&D to high-volume manufacturing.
 

Prabu Raja


“Industry must shift its thinking around materials design, materials as systems, co-optimized processes, and integration tools,” Raja said. “There’s a whole new world of materials.” Applied’s playbook initially focuses on materials, including combinations of novel materials across and beyond the periodic table. For materials engineers to execute on the playbook, they must know how to measure the atomic-level structures and nano-scale properties of new materials, especially their group interactions, to attain suitable properties for optimized interfaces and surfaces.

The playbook accentuates new advanced packaging approaches, such as creating parallel and shorter interconnects that shorten latency and reduce power consumption, deploying 3D stacking devices to reduce bandwidth, power and shrink areas, and using chiplets to customize building blocks and drive application-specific performance while enabling smaller dies and improving yields.

Another key component of the playbook, Intel’s Actionable Insight Accelerator (AIx) – a big data analytics platform powered by machine learning and AI and extendable across all Applied’s tools from lab to fab – converts data streams into actionable intelligence to optimize performance.

“It’s about making every stage faster and better – 2x faster with 30% better process window through a combination of new process tools, sensors, metrology tools, analytics and machine learning capabilities,” Raja said.

Architecting the Smart Factory for Autonomous, Electrified, Software-Defined Cars

The global automotive industry’s supplier ecosystem for technologies ranging from semiconductors and sensors to digital systems and service-oriented software will become increasingly interconnected throughout the entire life span of the vehicle, said Lawrence Vivolo, Senior Business Development Manager, Automotive and Semiconductor Designs, Unstructured Data Solutions at Dell Technologies.

AIThe accelerated push toward autonomous, electrified, and eventually software-defined cars will require "extreme concurrence,” with the entire supplier ecosystem of a vehicle digitally connected nonstop in real-time. Internally connected factories, connected parts and processes, connected data centers, connected cars, connected cities, connected roads and more will all need to talk with each other from the first robotic assembly of parts to when the vehicle eventually gets scrapped. All this will be necessary to optimize production efficiency and customer experience, Vivolo said.

AI will be essential in tapping unfathomably huge streams and lakes of data for insights into processes including tracing defective parts, improving predictive maintenance, and sustaining the integrity of Advanced Driver Assistance Systems, in-vehicle software updates and security. And to ensure ongoing and reliable interconnectivity, the Smart Factory – and its suppliers – will need digital infrastructures flexible enough to integrate network standards and hybrid cloud storage options with protections for intellectual property, security and privacy across the extended and open ecosystem – from original equipment suppliers to vehicle owners.

Next Up: SEMICON Taiwan 2021

The final leg of the SEMICON Sprint to 2022, SEMICON Taiwan 2021, December 28-30 in Taipei, featured the latest advances in compound semiconductors, heterogeneous integration, smart manufacturing and green manufacturing. Look for the highlights soon.\

Hiroki Yomogita is marketing manager at SEMI Japan.