downloadGroupGroupnoun_press release_995423_000000 copyGroupnoun_Feed_96767_000000Group 19noun_pictures_1817522_000000Member company iconResource item iconStore item iconGroup 19Group 19noun_Photo_2085192_000000 Copynoun_presentation_2096081_000000Group 19Group Copy 7noun_webinar_692730_000000Path
Skip to main content

October 18, 2023

Revolutionizing Materials

Sponsored by the Electronics business of Merck KGaA, Darmstadt, Germany 

Time

4:00 pm - 5:00 pm

Add to Calendar 2023-10-18 16:00:00 2023-10-18 17:00:00 Revolutionizing Materials and Products ALD and ALE Sponsored by the Electronics business of Merck KGaA, Darmstadt, Germany  Online, Central European Time (CEST) Alemania SEMI.org contact@semi.org Europe/Berlin public
Location

Online, Central European Time (CEST)
Germany

Revolutionizing Materials

Revolutionizing Materials and Products

Innovations in Atomic Layer Deposition (ALD) and Atomic Layer Etch (ALE)

This is the 6th webinar in our series to explore trending topics on materials and semiconductor development.

Join us to discover how innovations in selective surface-controlled processes, including Atomic Layer Deposition (ALD) and Atomic Layer Etch (ALE), enable the manufacture of advanced electronic devices.

During this interactive session, you will learn innovative approaches to depositing metal films. Building on the proven track record as a safe and reliable supplier to the semiconductor industry, the Electronics Business of Merck KGaA, Darmstadt, Germany, experts will discuss novel vapor-phase ALE processes that selectively and precisely etch metals. These methods, without requiring plasma generation, wet etch steps, or corrosive gas, enable facile integration of isotropic ALE into High-Volume Manufacturing (HVM). 

Commercializing these tools requires a deep understanding of reaction mechanisms including the impact of trace impurities on film properties, tight control of precursor delivery to the tool, and availability of advanced metrology to monitor precursor delivery.

Stay tuned and engage with technology leaders to discover both ALD and ALE solutions.

Why Attend?

The Semiconductor Materials Series attracts global professionals, business and technology leaders, researchers, academics, and industry analysts from across the electronics supply chain.

In this webinar, you will gain practical insights on:

  • Various approaches to conformally deposit and etch thin metal films
  • Reaction mechanisms and impact of impurities on deposition and etch processes
  • Challenges and industry requirements to commercialize advanced precursors

Who Should Attend?

  • Chemical Vapor Deposition (CVD), ALD, and ALE engineers
  • Material technology management teams
  • Supply chain, procurement, process, and technology development professionals


Register today to access exclusive content. 

You will be able to apply innovative techniques and best practices to solve your unique challenges.
Don't miss the chance to ask the experts questions during the live Q&A.

Attendees are invited to submit questions ahead of time at info_semi_webinar@emdgroup.com.

Agenda

4:00 pm - 4:05 pm
Surésh Rajaraman
Surésh Rajaraman
Executive Vice President & Business Unit Head, Thin Films
The Electronics business of Merck KGaA, Darmstadt, Germany

Welcome Remarks

4:05 pm - 4:45 pm
Sergei Ivanov
Sergei Ivanov
Senior R&D Manager, Organometallics, Thin Films Business
The Electronics business of Merck KGaA, Darmstadt, Germany

Biography
Sergei Ivanov, Senior R&D Manager, Organometallics, Thin Films Business at the Electronics business of Merck KGaA, Darmstadt, Germany
Sergei Ivanov is the Senior R&D Manager in the Organometallics division of Thin Films Business, where he leads a research program developing novel precursors for deposition of metal, metal nitride and metal oxide films. He is based at the R&D and manufacturing site in Hometown, Pennsylvania, USA. Sergei received a PhD in Inorganic Chemistry from Kurnakov Institute of Russian Academy of Sciences and a B.S. in Chemical Engineering from Mendeleev University of Chemical Technology.

Martin McBriarty
Martin McBriarty
Senior Scientist
The Electronics business of Merck KGaA, Darmstadt, Germany

Biography
Martin McBriarty (he/him) leads the development of atomic layer etch and other vapor-phase etch methods at the Intermolecular site in San Jose, California, USA. He earned his B.S. in Materials Science & Engineering at the University of Florida in 2008 and his Ph.D. in the same field at Northwestern University in 2014, during which he also studied at the Fritz Haber Institute as a Fulbright scholar. Martin joined Intermolecular in 2018 after completing postdoctoral research at Pacific Northwest National Laboratory.

4:45 pm - 5:00 pm
Laith Altimime
Laith Altimime
President
SEMI Europe

Live Q&A and Conclusions

REGISTRATION

View the webinar recording on-demand and FREE of charge.

Learn more

Interesting in the Semiconductor Materials webinar series?

Watch our free on-demand webinars to explore pressing topics on materials and semiconductor development. Click here to get more information.

Sponsors

Merck Vibrant M yellow and turquoise