downloadGroupGroupnoun_press release_995423_000000 copyGroupnoun_Feed_96767_000000Group 19noun_pictures_1817522_000000Member company iconResource item iconStore item iconGroup 19Group 19noun_Photo_2085192_000000 Copynoun_presentation_2096081_000000Group 19Group Copy 7noun_webinar_692730_000000Path
Skip to main content
June 12, 2018

Directed Self Assembly (DSA) Plays Role in the March to 3nm

The Advanced Lithography TechXPOT at this year’s SEMICON West will explore progress in extreme ultraviolet lithography (EUVL), its economic viability for high-volume manufacturing (HVM) and other lithography solutions that will address the march to 5nm and onward to 3nm.

As a prelude to the event, SEMI asked Mary Ann Hockey, director for Advanced & Emerging Lithography at Brewer Science Inc., and a speaker at the TechXPOT, for insights into the status of directed self-assembly (DSA) as it applies to the industry’s march to patterning for the 3nm node and beyond. For a full list of speakers and program agenda, visit http://www.semiconwest.org/programs-catalog/lithography-5nm-and-below.

SC West Mary Ann Hockey-1Mary Ann Hockey, director for Advanced & Emerging Lithography at Brewer Science Inc.

SEMI: What is the current status of materials development for DSA?

Hockey: We are currently working with strategic customers to implement high-quality DSA chemical material solutions. We are both addressing near-term implementation of standard PS-b-PMMA block copolymers (28-30nm Lo) by leveraging our strategic partnership with Arkema, France, and building a library of high-chi block copolymers for long-term device requirements (Figure 1).

 

SC West Brewer Science 1

SEMI: How do those developments prepare the technology for 5nm, 3nm or beyond?

Hockey: We have engaged the strategy of engineering a library of novel high-chi block copolymer (BCP) platforms for next-generation DSA technology requirements of 3-5nm devices. One key objective is a global focus on easing implementation into a manufacturing environment. This objective requires large process windows for guided alignment (accommodating pitch and guide size target variability), minimizing BCP microphase anneal times (short anneal time supports high throughput), and streamlining the total number of process steps required for volume production (Figure 2).

SC West Brewer Science 2

SEMI: How will industry’s use of DSA be intertwined with immersion lithography?

Hockey: We envision immersion lithography as the foundation enabler with strategic use of optical lithography for generating consistent critical dimension (CD) sizes of DSA guides/templates for low cost of ownership.

SEMI: What about the combination of DSA and extreme ultraviolet lithography (EUVL) to fabricate devices at 5nm, 3nm, and beyond?

Hockey: EUVL and DSA can potentially work in harmony to support next-generation device technology. DSA can be made with the capability of lithography rectification or enhancing EUVL photoresist sidewalls and targeting low line-edge roughness and line-width roughness (LER/LWR) values.

Debra Vogler, SEMI