downloadGroupGroupnoun_press release_995423_000000 copyGroupnoun_Feed_96767_000000Group 19noun_pictures_1817522_000000Member company iconResource item iconStore item iconGroup 19Group 19noun_Photo_2085192_000000 Copynoun_presentation_2096081_000000Group 19Group Copy 7noun_webinar_692730_000000Path
Skip to main content

FLEX 2023 Takeaways: Flexible and Printed Electronics Move into Electronics Manufacturing

The FLEX Conference, held again this year in conjunction with SEMICON West 2023, provided numerous examples of continued developments in flexible, printed, and flexible hybrid electronics technologies applied to sensing, robotics, communications, and other applications.

Smarter Systems Through Heterogeneous Integration: Highlights From 3D & Systems Summit

No one could have left the 3D & Systems Summit 2023 – held in late June in Dresden – with any doubt that heterogeneous integration, enabled by increasingly mature 3D packaging technologies, is becoming a key enabler of the semiconductor industry’s success in the years to come.

As Chiplets Go Mainstream, Chip Industry Players Collaborate to Overcome New Development Challenges

Industry experts gathered at the Heterogeneous Integration Summit at SEMICON Taiwan 2022 to offer perspectives on how the growing chiplet ecoystem can work together to overcome headwinds to chiplet innovation. 

40 Years of Chip Manufacturing Innovations – Advanced Energy Helps Fuel Advances with Precision Power Solutions

The year 1981 was notable for a variety of technology breakthroughs. Few could have predicted just how dramatic an impact the global electronics industry would have in the following 40 years, as it now touches almost every aspect of our daily lives.

Intel Sets Out to Tackle Power Delivery Challenges for Heterogeneous Systems

As monolithic scaling slows down, the semiconductor industry is increasingly relying on advanced packaging technologies to extend Moore’s law through heterogeneous integration. Higher on-package bandwidth, improved yield resiliency and the need to integrate diverse IP from multiple foundries are...

Paying Tribute to the Semiconductor Industry

As we pass the work-from-home one-year mark, most of us still work remotely and will do so for the foreseeable future. As live trade shows and technical conferences were cancelled one after the other, virtual events became the norm. And, teleconferencing became a way of life. While possibly...

Industry Giants TSMC and Intel Vow to Focus on 3D IC Packaging

Post-Conference Report: SEMI Heterogeneous Integration SummitDemand for high-performance computing (HPC) chips is exploding. These super-speedy chips are critical for data centers and cloud computing infrastructures to support new performance-hungry technologies such as artificial intelligence (AI)...

Fan-Out System-in-Board Technology: Enabling RF and Processor Module and System-Level Integration

SEMI met with Martin Schrems, director of Strategy and Business Development at AT&S AG, to discuss Fan-Out technology trends ahead of SEMI 3D & Systems Summit in Dresden, Germany.SEMI: What are the AT&S AG mission and vision and your role within the company?Schrems: AT&S AG is...

Advanced Testing Paradigm Shifting in Era of Heterogeneous Integration

New SEMI Taiwan Testing Committee to strengthen the last line of defense to ensure the reliability of advanced semiconductor applications.Mobile, high-performance computing (HPC), automotive, and IoT – the four future growth drivers of semiconductor industry, plus the additional boost from...