downloadGroupGroupnoun_press release_995423_000000 copyGroupnoun_Feed_96767_000000Group 19noun_pictures_1817522_000000Member company iconResource item iconStore item iconGroup 19Group 19noun_Photo_2085192_000000 Copynoun_presentation_2096081_000000Group 19Group Copy 7noun_webinar_692730_000000Path
Skip to main content

3D-IC: Great Opportunities, Great Challenges

Electronic designers demand greater integration densities and faster data transfer rates to meet the growing performance requirements of AI/ML, 5G/6G networks and autonomous vehicles as these technologies have outpaced the capabilities of any single chip.

Intel Sets Out to Tackle Power Delivery Challenges for Heterogeneous Systems

As monolithic scaling slows down, the semiconductor industry is increasingly relying on advanced packaging technologies to extend Moore’s law through heterogeneous integration. Higher on-package bandwidth, improved yield resiliency and the need to integrate diverse IP from multiple foundries are...

2021 Preview: SEMI Smart Manufacturing Initiative – Americas Chapter

The SEMI Smart Manufacturing Americas Chapter, a key driver of the Global Smart Manufacturing Initiative, accelerates awareness of digital and data-driven strategies and implementations to help speed adoption of smart manufacturing. In 2021, the Chapter will focus on expanding its work across the...

Microelectronics Power the Future of Mobility – Part 2: Opportunities for Electronics

In the first part of this double feature, we looked at the automotive industry’s transition toward a mobility ecosystem and the shifting business model perspective from selling vehicles to miles. At the core of these changing dynamics are four trends represented by the acronym ACES: Autonomous,...

MEMS-FHE Device Integration Gets Real

MEMS technology has changed human interaction with electronic devices. Introduced in the 1990s, the first mass-market MEMS devices were used for inkjet printheads and automotive airbag crash sensors. Today, MEMS are ubiquitous, with billions of the tiny devices adding intelligence and interactivity...

Advanced Testing Paradigm Shifting in Era of Heterogeneous Integration

New SEMI Taiwan Testing Committee to strengthen the last line of defense to ensure the reliability of advanced semiconductor applications.Mobile, high-performance computing (HPC), automotive, and IoT – the four future growth drivers of semiconductor industry, plus the additional boost from...

3D Heterogeneous Integration Drives Demand for New Materials and Integration Solutions

SEMI met with Gerald Beyer, program manager at imec, to discuss the co-existence of various 3D interconnect technologies and their need for new materials and integration solutions. The two talked in the runup to his presentation at the Advanced Packaging...